Iverilog + Gtkwave + Notepad++的verilog環境

之前是用Xilinx或Altera的FPGA合成verilog
最近,和朋友交流,發現Linux的用法,在windows上的樣子就像這次要講的一樣
也就是這樣的組合可以讓你在windows體會一下linux的應用程式都怎麼使用。

另外,iverilog是Icarus Verilog的縮寫。

本文會提供一個sample code、指令
只要照著做,就可以建立這樣環境。



首先下載這些軟體
Notepad++
iverilog

都安裝好之後,在Notepad++開新文件,並且直接開始寫verilog

Sample Code:
//abc.v
module abc(iclk, irst, ocnt);

input iclk, irst;
output [3:0] ocnt;
reg    [3:0] ocnt;

always@(posedge iclk, posedge irst)
begin
    if (irst)
        ocnt <= 0;
    else
        ocnt <= ocnt + 1;
end
endmodule
//abcTB.v is a testbench of abc.v
module abcTB();

reg clk, rst;
wire [3:0] cnt;

initial begin
 clk = 0;
 rst = 0;
 #10 rst = 1;
 #10 rst = 0;
end

always #10 clk = ~clk;

abc g(clk, rst, cnt);

initial
begin
    $dumpfile("abc.vcd");
    $dumpvars;
end

endmodule
在abcTB.v上面加上`include "abc.v";

在Notepad++的「外掛模組」→「Plugin Manager」裡新增一個叫NppExec

重開Notepad++,可以在「外掛模組」找到NppExec。

在Notepad++
「外掛模組」→「NppExec」→「Follow $(CURRENT_DIRECTORY)」,打勾。
「外掛模組」→「NppExec」→「Show Console Dialog」

在下面會出現console
輸入指令
iverilog abcTB.v
可以compiler.v檔。並且生成abc.vcd,另外a.out是預設檔名的輸出檔(不知道其作用!XD)。

輸入指令
cmd
進入cmd模式

輸入指令
gtkwave abc.vcd

就可以看見波形的tool了
左下角的腳位全選按「Append」,再按上面的箭頭就可以看見波形了。

沒有留言:

張貼留言

(什麼是留言欄訊息?)